设计一个比较电路,当输入的8421BCD码大于5时输出为1,否则输出为0

日期:2020-09-12 18:35:27 人气:1

设计一个比较电路,当输入的8421BCD码大于5时输出为1,否则输出为0

设计一个比较电路,当输入的8421BCD码大于5时输出为1,否则输出为0LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY BCD ISPORT(A : IN STD_LOGIC_VECTOR(3 DOWNTO 0);B : IN STD_LOGIC_VECTOR(3 DOWNTO 0);Q :OUT STD_LOGIC );END ENTITY;ARCHITECTURE BHV OF BCD I
    A+
热门评论