使用vhdl语言设计D触发器

日期:2016-06-04 02:10:39 人气:1

使用vhdl语言设计D触发器

根据真值表的描述结合VHDL编程思想很好实现你想要的程序; 程序并不难,关键是你用心的程度; 我猜你也许也是一名我的同行…… 自己的努力才是过硬的本领!!!! LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY RS_clk IS PORT( S,R,res :IN std_logic; Q,NOT_Q:out std_logic); END RS_clk; ARCHIT
    A+
热门评论