编写一个程序,使得被控制口输出一个占空比为3:1的矩形波 用单片机Keil软件uVision2编程 速求!!!

日期:2011-10-21 11:13:23 人气:1

编写一个程序,使得被控制口输出一个占空比为3:1的矩形波 用单片机Keil软件uVision2编程 速求!!!

用延时方法: #include #define uchar unsigned char #define uint unsigned int sbit LED = P1^0; ******************************************************************************************/ void Delay1(uint t) { uchar a; while(t-- != 0) {
    A+
热门评论