五、设计一个组合电路,输入8421BCD码,若输入能被4或5整除,输出 为1,否则为0。

日期:2011-05-02 15:31:59 人气:2

五、设计一个组合电路,输入8421BCD码,若输入能被4或5整除,输出 为1,否则为0。

这很难讲清楚,图不好画。 (1) 1、先画真值表,(0,4,5,8)的组合输出为1,(10,11,12,13,14,15)为无关项。 2、画卡诺图,化为最小和,因为要无冒险,就要有添加项,自己看着办; 3、之后就自己画吧! (3)可以用74x151,输出0 4 5接或门,还有就是当为8的时候要加写东西,说不清,自己看着办吧
    A+
热门评论